Quartus ii调试工具之In-System Sources and Probes Editor

Quartus ii提供了In-System Sources and Probes Editor调试工具,通过JTAG接口使用该工具可以驱动和采样内部节点的逻辑值。即通过 Sources功能来驱动fpga内部信号,通过Probes功能来探测内部节点的逻辑值。在系统设计还不完整的时候可以利用该工具模拟众多的输入激励。比如,可以通过该IP核来实时修改内部某些寄存器的值,而不用重新修改代码,再全编译,再下载调试。下图就是In-System Sources and Probes Editor的框图结构。
驱动流程:通过Quartus ii软件发送驱动信号,经由JTAG接口发送到FPGA芯片,通过FPGA的JTAG接口传送到In-System Sources and Probes Editor IP 核,通过该IP核的Sources端口来驱动内部信号。
探测流程:通过Probes 端口输入探测信号到In-System Sources and Probes Editor IP 核,IP核通过JTAG接口将探测的信号发送到Quartus ii软件。
这里写图片描述

下面给出使用In-System Sources and Probes Editor的步骤
1、新建一个工程,名为test。
这里写图片描述
2、例化In-System Sources and Probes Editor IP核,名为Sources_Probes ,点击 Next进入 IP参数设置界面。
这里写图片描述
相关参数设置
(1)红框1处,选择是否指定例化IP的编号,默认设置,不用修改
(2)红框2处,是否设置IP核的ID号。因为在一个工程中,可以例化多个In-System Sources and Probes Editor IP核,该ID号就是用来区分不同的IP核。
(3)红框3处,用来设置探测(Probes)端口和驱动端口(Sources)的位宽。范围是0-511位宽。这里设置驱动和探测信号位宽都为8。
(4)红框4处,高级设置选项。可以用来设置驱动 信号的初始值以及发送驱动信号是否与源时钟同步。(通常保持默认即可)。
这里写图片描述
这里写图片描述

3、点击Finish,完成IP核的例化。
4、在工程中添加IP核的例化,为了直观地观察该IP的功能,加了一个case 模块,这样当设置不同的Sources驱动信号时,通过探测管脚Probes探测到的信号也会发生相应的改变。
这里写图片描述
5、全编译工程,通过JTAG把sof文件下载到FPGA中。
6、Tools->打开In-System Sources and Probes,界面如下图所示。
这里写图片描述
7、由于写驱动的数据默认是0x00,所以根据case语句,判断其探测到的数据应该为0x11,点击 “Read Probe Data” 按钮 ,读出Probe 确实是0x11.
这里写图片描述
8、为了验证In-System Sources and Probes Editor IP核工作原理,我们修改Source 值为0x22,并点击 “Write Source Data”按钮,将驱动值通过JTAG写到FPGA中。
这里写图片描述
9、点击红框1处“Read Probe Data” 按钮,红框2处的 探测数据 Probe Data变为0x33,与case语句里的值一致。则证明了例化的In-System Sources and Probes Editor IP核工作正常。
这里写图片描述

  • 19
    点赞
  • 88
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
### 回答1: 要在Quartus II中实现数码管显示0-9,需要使用FPGA开发板和VHDL编程语言。具体步骤如下: 1. 在Quartus II中创建一个新的工程,选择FPGA开发板的型号和芯片型号。 2. 在工程中创建一个新的VHDL文件,命名为“display.vhd”。 3. 在VHDL文件中定义一个8位的输出端口,用于连接数码管的8个引脚。 4. 编写VHDL代码,实现数码管显示0-9的功能。可以使用if-else语句或case语句来实现。 5. 在Quartus II中进行编译和仿真,检查代码是否正确。 6. 将编译后的文件下载到FPGA开发板中,连接数码管并测试。 注意:具体实现方式可能因FPGA开发板型号和芯片型号而异,需要根据具体情况进行调整。 ### 回答2: Quartus II是一种用于数字电路设计的软件,在其中使用数码管显示0-9是一项常见的任务。下面我们将通过以下步骤介绍如何在Quartus II中实现数码管的显示。 首先,在Quartus II的设计界面中打开一个新的设计,并添加数码管。在“設計資源管理器”中选择正确的代碼,例如,在“組合邏輯”菜单下選擇 "MegaFunction",選擇 "Basic Functions" 中的 "Seven Segment Led Display",并创建一个新的“端口映射”。 然后,在“引脚分配”选项卡中,将引脚分配给数码管,确保引脚与连线正确相连。 接下来,为数码管编写Verilog代码,实现0-9的显示。在代码中定义一个计数器,从0-9循环计数,然后将计数器的值映射到数码管中相应的数字。 最后,在设计的菜单下编译和生成过程文件。如果在生成过程中发现错误,可以检查代码和引脚分配是否正确,并进行相应的修改。 综上所述,使用Quartus II实现数码管显示0-9需要进行端口映射,编写Verilog代码,并进行编译和生成过程文件。这项任务可能会涉及许多细节和技巧,需要认真学习和练习。 ### 回答3: Quartus II是FPGA设计工具,可以用来设计数字电路,并且可以在FPGA上实现设计的功能。在数字电路中,数码管显示电路是非常常见和基础的一种电路,包括七段数码管和8x8点阵数码管等。 要在Quartus II中设计数码管显示0-9,需要以下几个步骤: 1. 确定使用的数码管种类。在Quartus II中有支持七段数码管和8x8点阵数码管的IP核,可以实现方便的设计。本文以七段数码管为例。 2. 确定使用的显示方式。七段数码管有共阴和共阳两种接法,需要根据具体要求选择。 3. 进行原理图设计。在Quartus II中,可以用原理图方式进行数字电路设计。对于七段数码管,需要使用七个LED分别控制每一个段的亮灭,还需要使用译码器来将输入的数字转换为对应的控制信号。具体实现可以参考以下电路图。 ![quartusii1.png](https://cdn.nlark.com/yuque/0/2021/png/2680057/1629186881563-f5553171-4a8f-43d4-b9e9-5ebc4a662e02.png#align=left&display=inline&height=326&name=quartusii1.png&originHeight=652&originWidth=1107&size=57806&status=done&style=none&width=553.5) 4. 进行约束文件配置。约束文件可以指定FPGA芯片的管脚分配情况、时钟分配等信息。在设计数字电路时,需要将约束文件按照要求配置好,以便在后续的编译和下载过程中使用。 5. 进行编译和下载。在设计完成后,需要使用Quartus II进行编译和下载。编译过程会将设计转换为FPGA芯片可识别的二进制码,下载过程会将这个二进制码写入到FPGA芯片中。下载完成后即可进行测试。 以上就是在Quartus II中设计数码管显示0-9的步骤。随着技术的发展,数字电路设计方法也在不断创新,如使用硬件描述语言进行设计等,读者可以深入学习并不断实践,提升自己的设计能力。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值