MODELSIM使用技巧

Vivado多次调用仿真

一般情况下,vivado只能调用一次modelsim的仿真,若修改tb文件或rtl文件,需要关闭modelsim软件,再次运行vivado仿真。
使用如下方法,可以实现仿真文件重装载,而无需再次调用modelsim进行仿真,大大节省时间:

  1. 修改 “<test_bench>_compile.do” 文件,去掉结尾 “quit -force” 命令;
  2. 在ModelSim中运行 “do <test_bench>_compile.do”,可实现重编译,随后重新运行仿真即可。
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值