基于FPGA的高速ADC9XXX系列的产品研发记录与心得----系列二(玩FPGA玩到最后还是玩时钟)

 

    当板子回来之后我们的数据二通道还是存在bug,通过测试发现,还是在测试模式下面,adC的输出数据没有问题,但在接收的那边还是存在如下图的问题,通过电源AVDD和DVDD的查看发现,没有问题啊!!!

 

    如下图,数据的不正常接收来看,我开始怀疑IDDR的原语中的.c(dco_clk),这个dco_clk的来源

 

 

     我们知道这个时钟一般就是ADC的DCO+/DCO-时钟输出端输出来的时钟,输入到FPGA的MRCC和SRCC端口,但是这个时钟在学弟的板子上并非是连接到MRCC/SRCC端口上,而是连接到FPGA的一个XADC13接口上,以我自己的xadc使用经验,XADC接口是双12bit/1Mbps的接口,硬件原理图也就出在了这个问题上。

   当且我更换了这个时钟(IDDR的原语中的.c(dco_clk))更换为PLL锁相环的100M的时钟过后,仿真得到了正确的显示。

   说到这里,本节点的FPGA工作玩到最后还是玩的是时钟,从中不难看出功能开发离不开原理图的分析,一个好的正确的产品开始于前期原理图的设计,而原理图的设计不是简单地硬件连接更是与FPGA知识的联合出图。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值