3-2 Verilog 4位行波进位加法器

使用工具:Xilinx ISE 14.7


主要操作就是将前一位的在加法器运算所得的标准位赋予的到下一位的运算过程中,一步一步推进即可:

有两种实现方法(一种使用直接赋值,灵活性较高,另外一种使用for循化逐步赋值,完整性较好):


测试文件:

initial begin
		// Initialize Inputs
		//Simulation 1:
		num_1 = 0;		num_2 = 0;		#50;

		num_1 = 0;		num_2 = 1;		#50;

		num_1 = 0;		num_2 = 3;		#50;

	
  • 1
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值