VHDLday5:注意事项

(1)在新建工程的时候,要输入的第三项就是entity的名称。因此,在后续设计文件的时候,entity的名称一定要与之一致。若想要在同一个工程文件内建立多个元件,可以新建.vhdl,然后在仿真时点击“Project”“Set as Top-Level Entity”

(2)在文件完成编译以后,要按这个按钮,就能自动完成波型仿真。建议仿真时候设置为“timing”而不是“functional”
在这里插入图片描述
(3)记得经常检查分号、end是否齐全。这个是很常见的错误

(4)想要实现rst,应该在进程开头rst<=‘1’;后面随便设置令’rst<=0;'的判断点即可

(5)VHDL里面不区分大小写,因此不同信号、变量之间不能够用同样的字母不同的大小写。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值