FPGA学习日记(7) 阻塞赋值与非阻塞赋值

 阻塞赋值:在顺序块中依次执行。

非阻塞赋值:在顺序块里并行执行。

module blocking
(   
    input wire sys_clk,
    input wire sys_rst_n,
    input wire [1:0] in,
    
    output reg [1:0] out
);

reg [1:0] in_reg;

always@(posedge sys_clk or negedge sys_rst_n)//异步复位
    if(sys_rst_n == 1'b0)
        begin   
            //非阻塞赋值
            in_reg <= 2'b0;
            out <= 2'b0;
        end
    else
        begin
        in_reg <= in;
        out <= in_reg;
        end
endmodule

阻塞赋值 

module blocking
(   
    input wire sys_clk,
    input wire sys_rst_n,
    input wire [1:0] in,
    
    output reg [1:0] out
);

reg [1:0] in_reg;

always@(posedge sys_clk or negedge sys_rst_n)//异步复位
    if(sys_rst_n == 1'b0)
        begin   
            //阻塞赋值
            in_reg = 2'b0;
            out = 2'b0;
        end
    else
        begin
        in_reg = in;
        out = in_reg;
        end
endmodule

 测试文件

`timescale 1ns/1ns  //时间参数,时间尺度 时间精度
module tb_blocking();
reg sys_clk;
reg sys_rst_n;
reg [1:0] in;

wire [1:0] out;

initial 
    begin
        sys_clk = 1'b1;
        sys_rst_n = 1'b0;
        in <= 2'b0;
        #20
        sys_rst_n <=1'b1;
    end

always #10 sys_clk = ~sys_clk;
always #20 in <= {$random} % 4;


blocking blocking_inst
(
   .sys_clk(sys_clk),
   .sys_rst_n(sys_rst_n),
   .in(in),
   
   . out(out)
);
endmodule

 非阻塞赋值RTL视图:两个寄存器,两个延时

阻塞赋值RTL视图:一个寄存器,产生一个延时效果。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值