vivado(2015.4)常见错误整理

1:测试文件中没有输入
2:每次更改测试文件后要想 Run Synthesis再 Run Simulation behavior.不然在我这边出现的问题为时序图与改之前没有发生任何变化
3:如果Run Simulation behavior发现一开始有红线出现(到了后面就正常了)说明在测试文件中最一开始定义变量时并没有赋初值,程序运行到initial中需要一段时间,目前没有发现出现这种情况下在FPGA开发板上运行会有什么错误
4:在2015.2中生成比特流并在开发板运行时一定要拔掉电脑上的其他USB设备不然会蓝屏但在2015.4上并未发现这个问题
5:vivado仿真时,在时序图中始终出现高阻态“z”和未知态“x”。)、
(1)测试文件中调用了错误的模块即模块名称打错
(2)仿真激励文件是不是被设置成了顶层文件(set as top)
6:在测试文件当中if-else语句不能单独使用要放在always语句当中。
其他错误如果笔者遇到了将会继续整理。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值