FPGA进行仿真时出现“XX”不定态时一种可能的解决方案

最近在利用Vivado写PI/4DPSK调制模块的时候,发现算法中的同相正交支路的仿真值出现了“XX”不定状态,在查询了很多资料后提供一种可能的解决方案。

问题情况如下:

解决方案如下:把“<=”变为“=”

 

总结:在需要迭代赋值时,或者说设计逻辑电路时应使用阻塞赋值;设计时序电路时,一般使用非阻塞赋值。

 

 

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
FPGA仿真出现不定x的原因可能是由于逻辑操作中的信号a发生了变化。根据代码,如果信号a不变化,结果为0保持不变。但是当信号a发生变化,结果也会发生变化。这可能是由于逻辑操作中的信号x导致的,例如led\[6\]和led\[0\]会输出0。而当信号x与信号a进行逻辑与(&)和逻辑或(|)操作,结果会与信号a保持一致,相当于没有进行逻辑与和逻辑或操作。因此,在后仿真中不会出现不定。\[1\] 此外,Vivado对于高阻的优化是非常智能的。在前仿真中,确定为高阻的输出会直接优化相关逻辑输出高阻。而前仿真不定会在综合后确定电路的状。因此,不定的确定是在综合后进行的。\[2\] 通过对比两次仿真的结果,可以发现后仿真中不存在不定。这可以理解,因为要生成具体的电路,所有的信号都需要确定下来。因此,在后仿真中,led\[0\]变为1。结合代码,高阻和高电平进行与操作,综合后的结果是高电平。而cnt寄存器因为被优化,默认为高阻。\[3\] #### 引用[.reference_title] - *1* *2* *3* [vivado 对高阻z和不定x信号的处理](https://blog.csdn.net/weixin_44681954/article/details/121560442)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值