【BUG历险记】vivado仿真时,信号无法拉高/拉低,显示为x:不确定信号

🏔vivado仿真时,信号无法拉高/拉低,显示为x:不确定信号

问题描述

在对设计进行仿真时,发现信号经常出现x,也就是不确定态。仔细观察发现,信号可以被正常设置成0,但是没办法拉高为1。

解决方案

在定义信号的时候,对信号进行了赋值操作。比如:

wire signal = 0;

改成

wire signal;

原理分析

这是一个新手常犯的错误,在写激励文件时,是可以给信号赋予初值,但是在设计文件里不可以。因为赋值为0意味着给信号接地
比如说:

wire signal = 0;

这行代码等效为:

wire signal;
assign signal = 0;
  • 4
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值