core文件怎么分析_基于PTPX的平均功耗分析流程(step by step)

本文详细介绍了如何使用PrimeTime PX (PTPX) 工具进行平均功耗分析,包括设置分析模式、链接设计、读取SDC、反标寄生参数、检查时序、读入开关活动文件等步骤,并强调了不指定开关活动文件可能导致的功耗分析误差。
摘要由CSDN通过智能技术生成

本文同系列文章

基于innovus的全加器数字芯片物理实现(step by step)

基于VCS的计数器数字芯片功能仿真(step by step)

基于Formality的形式验证流程(step by step)

PrimeTime PX支持两种功耗分析模式: averaged modetime-based mode。在EDA工具的安装目录底下包含了这两种模式的Lab教程和相关设计文件。

本文将一步步地展示如何使用PTPX和这些Lab文件执行功耗分析

 28d95b9227bd3ad1ca943bb83f6c944e.gif

Step1: 首先找到PrimeTime的安装目录,和相应的Lab文件

which primetime

/opt/Synopsys/PrimeTime2015/bin/primetime

pwd

/opt/Synopsys/PrimeTime2015/doc/pt/tutpx

ls

a
  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值