verilog语言的八路选择器

该博客介绍了如何使用Verilog语言设计一个八路选择器,包括模块定义、输入输出声明、选择逻辑以及测试程序。通过测试程序展示了不同输入组合下选择器的正确输出。
摘要由CSDN通过智能技术生成

`timescale 1ns / 1ps

//

module mux8_to_1(out,i1,i2,i3,i4,i5,i6,i7,i8,s0,s1,s2);

  input[3:0]i1,i2,i3,i4,i5,i6,i7,i8;

  input s0,s1,s2;

  output out;

  reg[3:0] out;

  always @(i1 or i2 or i3 or i4 ori5 or i6 or i7 or i8 or s0 or s1 or s2)

    begin

     case({s2,s1,s0})

     3'b000: out=i1;

     3'b001: out=i2;

     3'b010: out=i3;

     3'b011: out=i4;

     3'b100: out=i5;

     3'b101: out=i6;

<
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

kobesdu

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值