选题二——智力抢答器的课程设计

一,实验内容,要求及目的
在这里插入图片描述
二,实验代码
1,module alldesign(reset,clock,din1,din2,din3,din4,clear,beep,number,cnt);
input reset,clock;
input din1,din2,din3,din4,clear;
output beep;
output [7:0] number,cnt;

wire clklk;
wire clklhz;
wire start;

clkdiv iunit1(reset,clock,clklhz);
qiangda iunit2(clock,din1,din2,din3,din4,clear,number,start);
daojishi iunit3(reset,clock/clklhz/,start,beep,cnt);
endmodule

2,module clkdiv(reset,clock,clklhz);
input reset,clock;
output clklhz;
reg clklhz;
reg[24:0] countl;
always@(posedge clock or posedge reset)
begin
if(reset)
countl<=0;
else if(countl==25’d25000000)
begin
clklhz<=~clklhz;
countl<=0;
end
else
coun

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
一、 设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响0.5秒。选手抢答时,数码显示选手组号,同时蜂鸣器响0.5秒,倒计时停止。 2.设计要求 (1)、4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)、给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)、如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)、用石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值