[SVA]跟随重复操作符和非连续重复操作符

property goto_repetition_p;
  @(posedge clk) $rose(a) |-> b[->3] ##1 c;
endproperty

跟随重复操作符(->)

  • 指定表达式匹配指定的次数,而不必匹配连续的时钟周期。
  • 表达式的最后一个匹配项应在整个序列匹配结束之前的时钟周期内发生

    对于上面的例子,信号b的最后一次重复必须跟随序列bc.如果最后一次重复出现!bc,则断言失败。

    通过的例子:

         !a  a  !b  !b  b  !b  !b  b  !b  b  c

    失败的例子

         !a  a  !b  !b  b  !b  !b  b  !b  b  !b  c


非连续重复运算符(=)

property goto_repetition_p;
  @(posedge clk) $rose(a) |-> b[=3] ##1 c;
endproperty

表达式的最后一个匹配项应在整个序列匹配结束之前的时钟周期内发生这条不需要满足

 

通过的例子

    !a  a  !b  !b  b  !b  !b  b  !b  b  !b  c

 


throughout 

property goto_repetition_p;
  @(posedge clk) $rose(a) |-> (b throughout (!c[->1]));
endproperty

  当信号a的上升沿被检测到时,检测信号b必须连续为高电平,直到信号c变为低电平为止。

 

link : System Verilog Assertions Simplified

  • 1
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
回答: SVA (SystemVerilog Assertions)是一种用于验证硬件设计的语言扩展,其包括属性(property)的定义和使用。属性是一种描述设计行为的语句,可以用于检查设计是否满足特定的规范或约束。 在SVA,属性可以使用蕴含(implication)结构来定义。蕴含结构由先行算子(antecedent)和后续算子(consequent)组成,先行算子是约束条件,只有约束条件成功时,后续算子才会被计算。蕴含结构可以分为交叠蕴含和交叠蕴含两种形式。 交叠蕴含使用符号"|->"表示,表示如果先行算子匹配,在固定个时钟周期后计算后续算子表达式。例如,在每个时钟上升沿,检查信号a是否为高电平,如果a为高,那么b在接下来两个时钟沿后也必须为高。\[2\] 交叠蕴含使用符号"|=>"表示,表示如果先行算子匹配,在下一个时钟周期计算后续算子表达式。例如,在每个时钟上升沿,检查信号a是否为高电平,如果a为高,那么b在下一个时钟沿也必须为高。\[3\] 通过使用蕴含结构,我们可以在属性定义指定约束条件和期望的行为,以便进行设计验证。 #### 引用[.reference_title] - *1* *2* *3* [SVA介绍----蕴含操作符](https://blog.csdn.net/qq_39556143/article/details/94591578)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insert_down28v1,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值