vivado/vitis 2021.1版创建no-OS工程时报错修改

$ make
[12:13:50] Building for xilinx
[12:13:53] Evaluating hardware: system_top.xsa
[12:14:03] Creating and configuring the IDE project
ERROR: [#UNDEF] Running Make Failed
ERROR: [Hsi 55-1444] Error(s) while running make
ERROR: [hsmtasks-55-1450]
ERROR: [Common 17-39] 'hsi::generate_bsp' failed due to earlier errors.

    while executing
"hsi::generate_bsp                                               -dir bsp       -proc $cpu                                               -os standalone         -compile"
    (procedure "_vitis_project" line 6)
    invoked from within
"_vitis_project"
    (procedure "create_project" line 6)
    invoked from within
"$function"
    (file "C:/adi/no-OS/tools/scripts/platform/xilinx/util.tcl" line 276)
make[1]: *** [C:/adi/no-OS/tools/scripts/xilinx.mk:238: C:/adi/no-OS/projects/adv7511/build/.project.target] Error 1
make: *** [../../tools/scripts/generic.mk:264: all] Error 2

报错提示这个,修改路径
export PATH=/c/Xilinx/Vitis/2022.2/bin:/c/Xilinx/Vitis/2022.2/gnu/aarch32/nt/gcc-arm-none-eabi/bin/:$PATH
即可。
搬运from:https://ez.analog.com/microcontroller-no-os-drivers/f/q-a/576835/no-os-make-error?queryID=2e8c4a95794424b5865bef9b8bef3d23&objectId=365a63dd-f710-440c-9c36-760257a937e6&eztype=Forum%20Thread

在sdk中打开则在工程路径下运行
make sdkopen

基本就是照着no-OS build走

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值