跨时钟域的寄存器访问

在verilog中,如果对于一个寄存器可能同时有两个时钟clk对其进行操作,为了防止读写冲突,需要做如下简单处理


clk_f( fast时钟)和clk_s(slow时钟)

clk_s对寄存器time_cnt进行写操作,

clk_f对寄存器time_cnt进行读操作,

 所以当clk_f边沿读取time_cnt的值得时候,time_cnt可能正是clk_s对寄存器写的时候,从而造成clk_f读错误

如下处理


reg time_cnt1,time_cnt2;

always @(posedge clk_f)

begin

time_cnt1<=time_cnt;

time_cnt2<=time_cnt;

end


always @(posedge clk_f)

if(time_cnt1==time_cnt2)

time_cnt_f<=time_cnt1;

else

time_cnt_f<=time_cnt_f;



time_cnt_f为最终读取的时间值

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值