modelsim仿真MCB DDR3 IP核时的报错

问题描述:

ddr3_model_parameters_c3.vh这个文件总是编译不过去,有错误。

错误提示: Error: (vlog-2902) ddr2_model_parameters_c3.vh(214): A `define was found on the same line as a SystemVerilog `ifdef, `ifndef, `elsif, or `else.


可以在命令行中添加如下命令,忽略次错误!

-suppress 2902

例如,注意-suppress 2902的添加位置,其次参考ISE生成参考例程中sim.do文件的编写

vlog   +incdir+. +define+x2Gb +define+sg15E +define+x16 -suppress 2902  ddr3_model_c1.v


原因是Modelsim 10.1c以后的版本才引入2902这个error,,之前的版本这个只是个警告,Xilinx官方的解释解释把这个error这个错误忽略掉。利用-suppress 2902。注意如果你当前是Modelsim 10.1c以后版本,则一定要添加-suppress 2902,之前的就不要添加了。。。

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值