时序约束之I/O延时约束

本文介绍了在静态时序分析中如何对外部I/O的延时进行约束,包括设置输入延时和输出延时。通过SDC命令set_input_delay和set_output_delay,定义了输入和输出端口的最小和最大延时,确保时序路径的正确性。
摘要由CSDN通过智能技术生成

        在静态时序分析中介绍了4种时序路径,其中有3种是与外部I/O有关,即触发器到输出端,输入端到触发器和输入端到输出端,所以在时序分析中需要对外部IO的延时进行时序约束,如下图所示。

        

        1.设置输入延时

        输入延时定义为在一个有效时钟周期内,外部逻辑的输出数据到达设计输入端口所占用的延时,如下图所示。   

        使用SDC命令set_input_delay来定义IO输入延时,命令如下。

        set_input_delay  -min  2.0  -clock  CLK  [get_ports  IN]

        set_input_delay  -max  2.0  -clock  CLK  [get_ports  IN]

        以上命令定义一个基于时钟CLK的外部逻辑到输入端口IN的延时信息,其延时波形如下图所示。

        2.设置输出延时

        输出延时定义为在一个有效时钟周期内,输出端口数据到外部逻辑所占用的延时,如下图所示。</

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值