SDC设计约束——IO延时约束

约束命令

set_input_delay -clock CLK -max 2.0 [get_ports IN]
set_input_delay -clock CLK -min 2.0 [get_ports IN]
set_output_delay -clock CLK -max 2.0 [get_ports OUT]
set_output_delay -clock CLK -min 2.0 [get_ports OUT]

附加问题:input delay 和setup time之间大概的数量关系是?(以下来源网络)

  1. 如果是block的input和output delay,可以预先分配,比如输入端,输出端各1/3,中间的连接1/3。

  2. block的端口最好都flop-in,flop-out

  3. 如果是chip IO,要考虑其他芯片的IO时序和电路板上面的延迟,比较麻烦

  4. set_input_delay/set-output_delay是设置外面的delay,从而保留芯片内部的IO delay来约束input io到flop和flop到output io的delay。一般紧约束为外面留70%,里面留30%,但实际上有些port要调整,不是每个port都需要一样的值。

set_input_delay [expr 0.7*$clkperiod] -clock $clk [all_valid_inputs]
set_output_delay [expr 0.7*$clkperiod] -clock $clk [all_outputs]
  • 1
    点赞
  • 37
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值