FPGA 01 入门 创建工程

FPGA 工程创建整体流程

一、创建Project

创建文件目录

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Pco86u0d-1614478878994)(FPGA 创建工程.assets/image-20210227194503076.png)]

1、创建项目

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Pd3dJvTN-1614478878998)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227190422976.png)]

2、浏览配置文件流程

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-DZYssfej-1614478879000)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227190853487.png)]

3、创建项目

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-ItsRYOoG-1614478879003)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227195007097.png)]

4、添加文件

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-02eDSXzO-1614478879005)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227191500934.png)]

5、选择开发的FPGA芯片

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-sGznSzxa-1614478879007)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227192052886.png)]

6、仿真EDA 设置

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-GzH7bnx2-1614478879008)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227192516664.png)]

7、信息校验核对

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-UPNodGAT-1614478879009)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227192735458.png)]

8、查看项目是否创建成功

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-sG0YO8Iw-1614478879010)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227192947798.png)]

二、添加源文件

1、

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-QJb8RrDT-1614478879012)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227193422071.png)]

2、添加保存 .v 文件

2.1 创建文件

在这里插入图片描述

2.2 存放在指定的路径【 \rtl 】文件

在这里插入图片描述

三、编译和综合

【注:这个是代码和逻辑关系分析的第①个步骤】

1、编译综合

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-cqS5aRG9-1614478879015)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227203533997.png)]

2、编译成功后,【下方区域】不会报错,此时实现了基本的编译工作

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-8PGUXPEb-1614478879016)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227203920690.png)]

四、仿真测试

– 编译成功后,编写仿真测试脚本,确保系统和自己所想的工作流程是一致的

测试流程如下:

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-LGE5qh6l-1614478879017)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227204523538.png)]

1、确定安装的modelsim的软件版本

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-wUOOcMmY-1614478879018)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227204811720.png)]

2、设置软件和Altera 的关联路径

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-dN2hSS7p-1614478879019)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227210212568.png)]

3、确认好仿真环境后,编写 测试脚本(xxx_tb.v)代码

①创建文件、点击保存(Ctrl+S)

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-Yxo1LcwT-1614478879020)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227205036389.png)]

②保存进入该文件中

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-0C9K4yrn-1614478879021)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227205258703.png)]

③ 脚本代码

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-9vsNMd6U-1614478879022)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227205639947.png)]

④ 分析和综合(快捷键 :Ctrl+K)

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-lhifPEjN-1614478879023)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227205836740.png)]

4、设置nativelink(设置脚本)

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-dAdovU43-1614478879024)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227210510110.png)]

②开始设置测试脚本

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-ZXoyhLM8-1614478879025)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227210859116.png)]

③-1 设置脚本

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-SM3j0sPq-1614478879026)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227210939550.png)]

③-2 设置脚本

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-bKScLDEr-1614478879027)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227211057273.png)]

③-3 设置脚本

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-tZsxOlF3-1614478879028)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227211241616.png)]

③-3 点击 add确认添加到下面的框中

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-OFtaje9S-1614478879029)(FPGA 创建工程.assets/image-20210227211407315.png)]

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-ga3bnleP-1614478879030)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227211459685.png)]

5、开始仿真

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-36fRYTEM-1614478879031)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227211756288.png)]

[外链图片转存失败,源站可能有防盗链机制,建议将图片保存下来直接上传(img-hFK9WVMj-1614478879032)(E:/Blog_Template/source/_posts/img/blog_img/fpga/image-20210227212736424.png)]

基本测试已经成功,后面就根据自己编写的是否与自己编写功能是否相同

1-1614478879030)]

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值