AXIS Data FIFO读写测试

1.前言

axis_data_fifo IP核是一个较为常用的IP核,需要用到特此记录一下,主要分析了fifo读写的时序逻辑以及verliog实现fifo读写。

2.fifo简介

FIFO本质上是由RAM加读写控制逻辑构成的一种先进的数据缓冲器,其与普通存储器RAM的区别在于FIFO没有外部读写地址线,使用起来非常简单,但fifo只能顺序写入数据,顺序读出数据,其数据地址内部读写指针自动加一完成,遵循着先入先出的原则。

根据fifo工作的时钟域,可以将fifo分为同步fifo和异步fifo。同步fifo是指读时钟和写时钟为同一个时钟,在时钟边沿同时发生读写操作,而异步时钟是读写时钟不一样,相互独立的。而本次的axis_data_fifo IP核采用同步时钟,以完成简单的读写操作。

3.axis_data_fifo IP核简介

IP核配置如下图所示。

在该IP核中已经为我们计算出了该fifo的深度,也就是容量为18944bits,接口为axi-stream接口,接口采用的tdata,tvalid,tready,tkeep,tlast信号。实际上使用到的只有tdata,tvalid和tready信号,tdata为传输的32位数据,tvalid为1表示准备好传输数据,tready为1表示准备好接收数据,此为握手协议,握手成功可以进行数据传输。

3.读写设计

本次程序设计主要是先向fifo中写入100个数据,再fifo中的数据,验证读出的和写入的是否一致。仿真时序图如下图所示。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值