AXI_Stream协议概述及AXI_Stream data FIFO IP调用

本文介绍了AXI_Stream协议的基础知识,包括其数据流格式、信号描述、握手机制和信号时序。重点讲解了在Vivado 18.3中AXI_Stream Data FIFO IP的使用,强调了在实际应用中通常使用的连续对齐数据流,并展示了IP CORE调用时的信号配置和输入输出信号示例。
摘要由CSDN通过智能技术生成

概述

AXI_Stream属于AXI总线中比较简单的一种协议,和AXI4 full和AXI_lite相比,AXI_Stream是基于数据流传输,不存在读写地址,因此只有发送数据和接收数据两种传输过程,本文给出VAVIDO 18.3 AXI_Stream data FIFO的应用。

数据流的格式

1、字节流:具有若干个数据和空字节的传输。
在这里插入图片描述
3、连续未对齐的流:包含若干数据字节且第一个字节和最后一个字节不包含位置字节。
在这里插入图片描述
3、连续对齐的流:包含若干个数据字节。

4、稀疏的流:包含若干数据和位置字节。

实际应用中常使用连续对齐的数据流进行传输,只包含数据字节,没有位置字节和空字节奥。

信号描述

信号描述如下表所示,在多数应用中使用ACLK

  • 1
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值