FPGA学习 Vivado使用篇

vivado菜单指南:

创建设计源文件(add or create design sources):

在创建源文件后,会要求对其所在模块进行创建:

而后会在资源区看到我们创建的模块:

下面以一个工程为例:

 RTL原理图如下:

关于引脚绑定:

 

学习查看用户手册和开发板原理图是一个硬件工程师的基本要求。

然后点击保存,会要求生成一个XDC约束文件(用于引脚绑定)

 在此说明,我们也可通过书写XDC约束文件来进行引脚绑定。本文使用第一种方法,先绑定引脚,让系统自动生成XDC约束文件。

至此,我们已经完成了一个FPGA工程,接下来我们进行编译部分。

在项目菜单(最左边)选择Run Synthesis,逻辑综合之后,我们要进行一个系统时钟的约束,点击Open Synthesized Design下的Constraints Wizard,输入系统时钟频率为50MHz,然后回车结束。

完成后,我们可以直接选择生成比特流文件(Generate Bitstream),在这个过程中,它会自动进行布局布线(Implementation)。

完成布局布线后,选择打开硬件管理(Open Hardware Manager),进行硬件下载与验证。

在进行硬件验证前,我们可以先进行仿真(Simulation)。按上述创建设计源文件的方法,创建仿真文件(Simulation Sources),输入代码后,点击运行(Run Simulation),选择行为级(Behavioral)仿真。

 

  • 9
    点赞
  • 129
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado ILA(Integrated Logic Analyzer)是一种用于调试和分析FPGA设计的工具。下面是使用Vivado ILA的简要教程: 1. 将ILA模块添加到设计中:根据引用\[1\]中的说明,将ILA模块添加到需要进行调试的模块中。可以参考夏宇闻老师的《Verilog经典教程第三版》了解具体的Verilog语法。 2. 生成比特流文件:在Vivado中,点击"Generate Bitstream"生成比特流文件。 3. 打开硬件调试:点击"Open Hardware Manager"打开硬件调试界面。 4. 添加调试语句:根据引用\[1\]中的说明,在需要进行调试的模块中添加调试语句(*MARK_DEBUG="true"* )。 5. 配置ILA:在Vivado中,打开IP核目录(IP Catalog),搜索ILA并选择相应的ILA IP核。根据引用\[3\]中的说明,选择需要测量的信号数和采样数据深度等参数。 6. 连接ILA:将ILA IP核实例化模板添加到设计中,并根据需要连接到需要测量的信号。 7. 生成比特流文件:重新生成比特流文件,以包含ILA的配置。 8. 下载比特流文件:将生成的比特流文件下载到FPGA板上。 9. 打开ILA界面:在Vivado的硬件调试界面中,打开ILA界面。可以看到已经命名的ILA,并在左边的模块框内看到对应的端口。 10. 进行调试:根据需要,设置触发条件和采样时机,并开始进行调试和分析。 以上是使用Vivado ILA的简要教程,希望对您有帮助。 #### 引用[.reference_title] - *1* *2* *3* [FPGA学习 Vivado使用之ILA(逻辑分析仪)](https://blog.csdn.net/m0_53606280/article/details/123600212)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值