「Verilog学习笔记」奇偶校验

专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

 

分析 

通常所说的奇偶校验:

奇校验:对输入数据添加1位0或者1,使得添加后的数包含奇数个1;

比如100,有奇数个1,那么奇校验结果就是0,这样补完0以后还是奇数个1;

奇校验:对输入数据添加1位0或者1,使得添加后的数包含偶数个1;

回到这个题目,应该是出题人搞反了,按照出题的意思,应该不能叫奇偶校验,应该是叫奇偶检测

奇检测:输入的数据里有奇数个1就输出1;

偶检测:输入的数据里有偶数个1就输出1; 

单目运算符 (|,&,^)

举例说明:

假设d = 3'b100 ; e = &d => e = d[2] & d[1] & d[0] = 1'b0 ; 

假设d = 3‘b100 ; f = ^d => f = d[2] ^ d[1] ^ d[0] = 1'b1 ; 

解题思路 

判断bus中有奇数还是偶数个1,若为奇数则亦或结果为1,反之为0,根据sel的奇偶输出答案即可。

`timescale 1ns/1ns
module odd_sel(
input [31:0] bus,
input sel,
output check
);
//*************code***********//
    wire odd ; 
    assign odd = ^bus ; 
    assign check = sel ? odd : ~odd ;

//*************code***********//
endmodule

Testbench

`timescale 1ns/1ns
module testbench();
	reg clk = 0 ;
  reg sel = 0 ;
  reg [31:0] bus = 32'b0 ; 
  wire check ;  

	always begin
    # 5 clk = ~clk ; 
  end // Create clock with period=10
// A testbench
  
  initial begin 
    # 20 sel = ~sel ; 
    # 60 sel = ~sel ; 
    # 60 sel = ~sel ; 
    # 50 sel = ~sel ; 
    $finish ; 
  end  

  always begin 
    # 20 bus = bus + 1 ; 
  end

  odd_sel u1(
    .bus(bus),
    .sel(sel),
    .check(check)
  );

  initial begin
    $dumpfile("out.vcd");
    // This will dump all signal, which may not be useful
    //$dumpvars;
    // dumping only this module
    //$dumpvars(1, testbench);
    // dumping only these variable
    // the first number (level) is actually useless
    $dumpvars(0, testbench);
end  
    
endmodule
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

UESTC_KS

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值