Verilog基础(二):diviter 分频器

在整个电路中,一般晶振提供固定的时钟信号频率,但是在需要其他频率的时钟的时候,一种是器件厂商提供的锁相环(PLL),另一种是自己动手来用Verilog代码描述。
在自己进行Verilog描述的时候,通过计数器统计时钟脉冲,然后做出相应的操作,以达到奇数分频(3, 5, 7…),偶数分频(2, 4, 6…),占空比(高电平时间占整个周期T的时间比例设置…

偶分频 占空比50%

module diviter_6(
	input clk,
	input rstn,
	output clk_div
);

reg [7:0] cnt
always @(posedge clk, negedge rstn)begin
	if(!rstn)
		cnt <= 0;
	else if(cnt==8'd3)
		cnt <= 0;
	else
		cnt<=cnt + 1
end

always @(posedge clk,negedge rstn)
	if(!rstn)
		clk_div <= 1'b0;
	else if(cnt==8'd3)
		clk_div<=~clk_div;

endmodule

偶分频 脉冲信号分频

module diviter_6(
	input clk,
	input rstn,
	output clk_flag
);

reg [7:0] cnt
always @(posedge clk, negedge rstn)begin
	if(!rstn)
		cnt<=0;
	else if(cnt==8'd5)
		cnt<=0;
	else
		cnt<=cnt + 1
end

always @(posedge clk,negedge rstn)
	if(!rstn)
		clk_flag <= 1'b0;
	else if(cnt==8'd5)
		clk_flag<=1'b1;
	else
		clk_flag<=1'b0;

endmodule

奇分频 占空比50%

module diviter_5 
(
    input       clk,
    input       rstn,
    output      clk_div
    );
    
reg     [7:0]  cnt;
reg      clk_pos,clk_neg;

assign clk_div = clk_pos | clk_neg;

always @(posedge clk or negedge rstn)begin
  if(!rstn)
    cnt <= 8'b0;
  else if(cnt==8'd4)
    cnt <= 8'b0;
  else 
    cnt <= cnt + 1'b1;
end         
    
always @(posedge clk or negedge rstn)begin
    if(!rstn)
        clk_pos <= 1'b0;
    else if(cnt==8'd2)
        clk_pos <= 1'b1;
    else if(cnt==8'd4)
    	clk_pos <= 1'b0;
end

always @(negedge clk or negedge rstn)begin
    if(!rstn)
        clk_neg <= 1'b0;
    else if(cnt==8'd2)
        clk_neg <= 1'b1;
    else if(cnt==8'd4)
    	 clk_neg <= 1'b0;
end 
    
endmodule

奇分频 脉冲信号分频

module diviter_5 
(
    input       clk,
    input       rstn,
    output      clk_flag
    );
    
reg     [7:0]  cnt;
reg      clk_flag

always @(posedge clk or negedge rstn)begin
  if(!rstn)
    cnt <= 8'b0;
  else if(cnt==8'd4)
    cnt <= 8'b0;
  else 
    cnt <= cnt + 1'b1;
end 
        
 /*通过设置cnt,可以灵活调整占空比*/ 
always @(posedge clk or negedge rstn)begin
    if(!rstn)
        clk_flag<= 1'b0;
    else if(cnt==8'd3)
    	clk_flag <= 1'b1;
    else
    	clk_flag<= 1'b0;
end
endmodule
  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值