(5)Adder3

1.1目录

(1)目录

(2)题目要求

(3)相关原理

(4)代码

1.2 题目要求

        Now that you know how to build a full adder, make 3 instances of it to create a 3-bit binary ripple-carry adder. The adder adds two 3-bit numbers and a carry-in to produce a 3-bit sum and carry out. To encourage you to actually instantiate full adders, also output the carry-out from each full adder in the ripple-carry adder. cout[2] is the final carry-out from the last full adder, and is the carry-out you usually see.

翻译:我们要用3个1位的全加器级联组成一个3位加法器,其中的cout[3:0]就是记录3个全加器的进位信息(例:cout[2]代表a[2]和b[2]相加是否进位)。

1.3 相关原理

        这就是一位加法器变4位加法器的原理图,其中的CO就是题目中的cout ,CI就是题目的cin。我们只需要把每位的进位信号CO和下一位的CI连接就可以了。最后输出的S0-S3按位拼接后就是sum的结果。

1.4 代码

module top_module( 
    input [2:0] a, b,
    input cin,
    output [2:0] sum,
    output [2:0] cout
     );
	wire cout1,cout2,cout3;
	//调用3次一位全加器,也就实例化3个一位全加器
    full_adder adder1(a[0],b[0],cin,sum[0],cout1);
    full_adder adder2(a[1],b[1],cout1,sum[1],cout2);
    full_adder adder3(a[2],b[2],cout2,sum[2],cout3);

    assign cout={cout3,cout2,cout1};//输出a和b每位相加的进位情况
endmodule

//这个就是一位全加器模块
module full_adder(
	input a,b,
    input cin,
    output sum,cout
);
    assign sum=!((!a&!b&!cin)|(a&!b&cin)|(!a&b&cin)|(a&b&!cin));
    assign cout=!((!a&!b)|(!b&!cin)|(!a&!cin));
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

一只准备起飞的小菜鸟

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值