hdlbits系列verilog解答(Adder3)-67


一、问题描述

现在您已经知道如何构建一个完整的加法器,请制作 3 个实例来创建一个 3 位二进制行波进位加法器。加法器将两个 3 位数字和一个进位数相加,以生成 3 位求和并执行。为了鼓励您实际实例化完整加法器,还要在行波进位加法器中输出每个完整加法器的进位量。cout[2] 是最后一个完整加法器的最终进位输出,也是您通常看到的进位输出。

模块声明
module top_module(
input [2:0] a, b,
input cin,
output [2:0] cout,
output [2:0] sum );

思路:
利用前面写过全加器,然后实例化,最后级联。


二、verilog源码


module top_module( 
    input [2:
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值