FPGA开发Vivado安装教程

前言

非常遗憾的一件事情是,在选修课程时我避开了FPGA,选择了其他方向的课程。然而,令我没有想到的是,通信项目设计的题目竟然使用FPGA,这简直是背刺。在仅有的半个月时间里,准备这个项目确实是非常紧张的。为了下载FPGA相关的软件,我不得不将我的深度学习项目全部转移到硬盘上,还删除了虚拟机和其他一些软件,才终于腾出足够的空间来安装所需的软件。

Vivado安装教程

通过官网下载安装包

  • www.xilinx.com            (英文)
  • www.china.xilinx.com  (官方中文网站)

安装直达链接:

Downloads (xilinx.com)

当然选择你当前合适的版本就可,这里我选择的是安装2022的版本,一般比最新版低一到两个就可以了。点击之后会进入一个登录页面,没有注册的就进行注册后再登录。

接着还要填写一些基础信息(挺麻烦的)。

完了等待下载即可

点击Next

再次输入账户信息后点击Next

选择Vivado后点击Next 

选择Vivado ML Standard标准版,点击Next

开头也说了,我的磁盘空间不够,而且我的项目只要有simulink仿真即可,有足够空间的可以去安装Vivado ML Entexprise企业版。

在全部同意后进入下一页

它这里默认安装是C盘的,切换到其他盘即可

开始安装,接下来等待蛮久......

最后下载成功

创建工程

点击三棱的图标,选择创建工程

好的习惯就是有独特的项目名称,以及统一的项目路径,注意项目名称和路径不能有中文

接下来是选择项目类型

这里是芯片类型,可以先选一个进去 

点击完成,进入界面。 

进入界面,在这个地方,红色是我们写程序的地方,黄色是约束文件,是连接主文件的输入输出和板子上的管脚连接的重要部分,蓝色横线部分是仿真文件,用于验证程序是否写的正确。

 这里右键点击红色横线部分点击添加文件,注意它这里添加的文件类型一点要看清,就是是否是这个文件夹的类型,说起来有点绕,自己看看就明白了。

然后点击finish,会有一个弹窗让你选择文件的管脚,因为我们有约束文件,所以直接关掉就好了。

`timescale 1ns / 1ps

// adder.v  
module adder(  
    input wire [7:0] a,  
    input wire [7:0] b,  
    output reg [8:0] sum  
);  
  
always @(*) begin  
    sum = a + b;  
end  
  
endmodule

在Simulation Sources下新建一个adder_tb文件

 

// adder_tb.v  
`timescale 1ns / 1ps  
  
module adder_tb;  
    reg [7:0] a;  
    reg [7:0] b;  
    wire [8:0] sum;  

    adder uut (  
        .a(a),   
        .b(b),   
        .sum(sum)  
    );  
 
    initial begin  
        // 初始化输入  
        a = 8'h05;  
        b = 8'h0A;  
  
        #10;  
  
        a = 8'hFF;  
        b = 8'h01;  
        #10;  
  
        $finish;  
    end  

    initial begin  
        $monitor("At time %t, a=%h, b=%h, sum=%h", $time, a, b, sum);  
    end  
  
endmodule

点击左侧栏中的SYNTHESIS,此时正在进行编译操作,然后在控制台没有报错就行了。

接着,点击SIMULATION进行仿真

参考文章

vivado工程创建(从新建到下板)_vivado怎么新建工程-CSDN博客 

【FPGA】Vivado 保姆级安装教程 | 从官网下载安装包开始到安装完毕 | 每步都有详细截图说明 | 支持无脑跟装_vivado安装教程-CSDN博客

最详细的Vivado安装教程-CSDN博客

【FPGA】Vivado软件使用教程_vivado使用教程-CSDN博客

FPGA学习笔记-1 FPGA原理与开发流程_fpga开发-CSDN博客

Vivado2022.2安装教程-CSDN博客

  • 4
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
FPGA是一种可编程逻辑设备,可以被重新编程以实现不同的电路功能。Vivado是一款由Xilinx开发FPGA开发环境软件。眼图测试是一种用来评估数字信号的正确性和稳定性的方法。 要进行FPGA基于Vivado的眼图测试,首先需要有一个FPGA开发板和Vivado软件的安装包。安装Vivado软件后,打开Vivado环境。然后,创建一个新的项目,选择适合你的FPGA开发板的芯片型号,并建立一个新的FPGA设计。 接下来,你需要编写一个设计代码,用于产生一个含有扰动的信号。你可以使用Verilog或VHDL语言来编写代码。确保你的设计代码中包括了适当的时钟周期和数据产生逻辑。 编写完设计代码后,你可以开始进行综合、实现和生成比特流文件的过程。通过综合,将设计代码转换为逻辑网表表示。然后,通过实现将逻辑网表映射到设备的资源上,并生成一个比特流文件,用于将设计加载到FPGA开发板中。 加载比特流文件到FPGA开发板后,连接适当的探针和示波器来监测FPGA的输出信号。在Vivado中,可以使用逻辑分析仪工具来创建眼图测试,并生成眼图结果。首先,设置适当的触发条件和采样速率。然后,开始采集数据并创建眼图。 眼图显示了信号的波形,可以评估信号的稳定性和正确性。通过观察眼图的打开度、噪声和抖动等指标,可以判断信号是否满足设计要求。如果眼图较小或存在噪声和抖动,则可能需要优化设计或更改电路参数。 在完成眼图测试后,可以综合分析眼图结果,并在需要的情况下对设计进行优化。通过迭代这个过程,可以逐步改善信号质量,确保FPGA设计的正确性和稳定性。 以上简要介绍了FPGA基于Vivado的眼图测试教程。希望对您有所帮助。如需更详细的操作步骤,请参考相关的Vivado用户手册或教程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

夏天是冰红茶

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值