数字电子技术基础期末复习

文章主要内容涉及逻辑代数的基础知识,包括公式法和图形法的化简与证明。接着讨论了组合逻辑电路,如加法器、译码器和数据选择器的实现。此外,还涵盖了不同类型的触发器,如基本触发器、同步触发器和边沿触发器,以及它们的电路图和表达式。最后,文章提到了时序逻辑电路,特别是计数器的设计与分析。
摘要由CSDN通过智能技术生成

目录

 

一,逻辑代数基础

考题:

1.公式法,图形法化简

2.图形法,公式法证明

二,组合逻辑电路

考题:

1.求逻辑函数并画图

2.数据选择器实现逻辑函数

三,触发器

考题:画波形图

四,时序逻辑电路

考题:

1.时序逻辑电路,组合逻辑电路的设计与分析

2.计数器


 


一,逻辑代数基础

考题:

1.公式法,图形法化简

2.公式法,图形法证明

(一),知识点回顾:

06a3076c9f9d756eec8d7149f248094e.png

 

0bfdc588b4144a837d4791e4f28649e9.png

 

0e0c8d6ff2444eb2aa5c7e7430111686.png

 

96fd07da56f343529adecb9e55402709.png

 

二,组合逻辑电路

考题:

1.求逻辑函数并画图

2.数据选择器实现逻辑函数p172

(一),知识点回顾:

(1)组合电路的基本分析方法:

1.组合逻辑电路的特点:

  • 输出与电路原来状态无关,仅仅只决定与该时刻输入变量的取值。
  • 不含记忆元件;输出无反馈到输入的回路。

3da0f544aed64cb38c7161c22458d3be.png

2.组合电路的分析:

67804b4a6cab4a239570b83f2948421a.png

 3.组合电路的基本设计方法:

91efef8ef7b640c18438585d5a397133.png

(2)加法器:

 1.半加器:

75fbd33a5c3d4079aa0ff7f26eacda06.png

2.全加器

ea5d98eace04469bbad52d5c5bcfe1ab.png

3.集成全加器

 

4.加法器

1eb8c1b90ab04ffca59cb7dda23d7137.png

(3)二进制译码器:

 

 

 (4)数据选择器:

1.153数据选择器:

 

 

2.151数据选择器:

 

 

 

(5)用中规模集成电路实现组合逻辑函数:

1.用数据选择器实现逻辑函数:

ca43761e92c74f568c62879b4d4bea7d.png

ae74975ef6594511a5a402665b8e01e0.png

53a5e9edb18e454c9ec7bd2ec3a825f1.png

 

2.用二进制译码器实现组合逻辑函数:

 

 

 

 

三,触发器

考题:画波形图

(1)基本触发器:(记忆图形符号,电路图与表达式)

ceb57836400a49a5b912401a9a5aa415.png

 

(2)同步触发器:

1.同步RS触发器:

1037581c41f64c4b8d063d884d01ade0.png

 

2.同步D触发器:

566fb1787e4f479d9719e50992821180.png

 

e40a5999f5c04f2daca1b5fe699cf999.png

 

(3)边沿触发器:(只在脉冲变化沿改变

1.沿边D触发器:

 

98cd0b9234d54516b591857e43c16174.png

2.沿边JK触发器:

 b498c09a2bce4f1a82e3a605ed1550a2.png

3.T触发器:

3f6057d70634426aadea81213b9efdfe.png

4. T‘触发器:

466a0709476142acbb9d063de378a68a.png

 

 

四,时序逻辑电路

考题:

1.时序逻辑电路,组合逻辑电路的设计与分析

2.计数器

(1)时序逻辑电路的基本方法和设计方法:

1.时序电路的特点:

8d01ef8d8dc543b9833088dec0d7813b.png

 

2.时序逻辑电路的分析方法:

6a05a527ff0448108f154fbce4be92a6.png

 

470ba3d0fa01416b81d5f2612b51b901.png

3.例题:

e8d6e3f2056b4e15986b93e7b77b902c.png

(2)计数器:

(3)计存器和读写存储器:

(4)顺序脉冲发生器:

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值