verilog文件系统函数调用

1 $open

Integermulti_channel_descriptor= $fopen ( "file_name" );

返回文件的多通道描述符,只允许写数据,最多能打开31个文件,最高位保留。

注意:用$fopen打开文件会将原来的文件清空,若要读数据就用$readmemb,$readmemh就可以了,这个语句不会清空原来文件中的数据。integerfd = $fopen ( " file_name",type);

返回文件描述符

type枚举:

"r" or "rb"
"w" or "wb"
"a" or "ab"
"r+", "r+b", or "rb+"
"w+", "w+b", or "wb+"
"a+", "a+b", or "ab+"

  • 2
    点赞
  • 45
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值