【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(终)

往期内容

【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(1)_system verilog 构造函数_尼德兰的喵的博客-CSDN博客

【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(2)_尼德兰的喵的博客-CSDN博客

【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(3)_system verilog 构造函数_尼德兰的喵的博客-CSDN博客

【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(4)_尼德兰的喵的博客-CSDN博客

【system verilog】OOP属性下的构造函数new,虚方法virtual和cast方法(5)_$cast会检查空句柄吗_尼德兰的喵的博客-CSDN博客

正文

前几天有朋友和我讨论,明明$cast函数的功能是修改句柄的指向,为什么我们总是称之为强制类型转换函数?下班的路上我想了一下,感觉还是因为句柄的指向规则和调用特性使得$cast看起来确实是在完成强制类型转换功能。

$cast(a, b)本质上确实是在检查左侧句柄是否可以指向右侧句柄所指向的实例空间,并完成句柄向实体空间的指向功能,这点在本系列文章的第三篇中做过说明,图方便我再放一下这个图:

从这一点看,实际上右侧的实例类型并没有被强制转换转换成左侧句柄的类型。但是呢,别忘了还有另外一个性质:父类句柄指向子类空间时,无法调用父类中不存在、子类拓展出来的方法,具体实验请参见系列文章的第四篇。

这就导致了如果是子类句柄指向子类空间,那么可以访问全部属性和方法。而父类句柄指向子类空间只能访问子类继承的属性和方法。从结果上看,仿佛是右侧的子类空间被强制转换为左侧父类句柄的数据类型了。

因此,称$cast为强制类型转换函数,也就非常的合理了。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

尼德兰的喵

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值