System Verilog——虚方法的使用

1、使用虚方法目的

        通过在父类里定义虚方法(task or function),可以在当父类句柄调用一个方法时候,前提是若是这个句柄指向了子类对象,则调用的方法为子类的方法而不是父类的方法

1.1、实例理解:将子类句柄赋值成父类句柄

module tb_virtual();
class Transaction;
bit [31:0] src = 100;
function void display();
	$display("Transaction src = %0d",src);
endfunction
endclass

class BadTr extends Transaction;
bit [31:0] bad_src = 200;
function void display();
	super.display();
	$display("BadTr src = %0d",bad_src);
endfunction 
endclass

initial begin
	Transaction tr;
	BadTr bad,bad2; 
	bad = new();  //构建了一个子类的对象
	tr = bad;  //父类的句柄指向子类的对象
	$display(tr.src);//显示父类的变量的内容
	tr.display; //调用的是父类的函数
end 
endmodule

运行结果如下:

 1.2、将父类句柄赋值成子类句柄

initial begin
	Transaction tr;
	BadTr bad,bad2; 
	tr = new();//创建一个父类对象
	bad = tr;//将父类对象赋值给子类句柄,ERROR不会执行
	$display(bad.bad_src);//父类对象不存在该成员变量
end 

编译报错:

1.3、使用系统函数$cast()

initial begin
	Transaction tr;
	BadTr bad,bad2; 
	bad = new();
	tr = bad;  //父类的句柄指向子类的对象
	$cast(bad2,tr);
	if(!$cast(bad2,tr))
		$display("cannot assign tr to bad2");
	$display(bad2.bad_src);
	bad2.display();
end 

运行结果:

2、结论

(1)通过在父类里定义虚方法(task or function),可以在当父类句柄调用一个方法时候,前提是若是这个句柄指向了子类对象,则调用的方法为子类的方法而不是父类的方法。
(2)将父类对象赋值给子类句柄,ERROR不会执行
(3)父类的句柄指向子类的对象,但是不能访问子类成员,使用虚方法可以访问子类的函数或者任务
(4)使用系统函数$cast()进行类型转换,转换之后的新句柄可以访问函数与变量




 

 

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值