verilog编程题-取对数

1. 题目

写一个组合逻辑代码,可以直接输出以2为底的对数值,向上取整。

2. 代码

这个代码主要是用来熟悉for循环的使用,取以2为底的对数,通过右移实现。

2.1 DUT

module log(
	input wire [31:0] in,
	//input wire clk,
	//input wire rst_n,

	output wire [7:0] out
	);
// 方案一
function integer clogb2 (input integer bit_depth);
begin
	for(clogb2=0; bit_depth>0; clogb2=clogb2+1)
		bit_depth = bit_depth>>1;
end
endfunction

assign out = clogb2(in-1'b1);
// 方案二
integer i;
reg [31:0] bit_reg;
integer bit_tmp;
always @(*) begin
	bit_reg  = in-1'b1;
	for (i=0; bit_reg > 0; i=i+1) begin
		bit_reg = bit_reg >> 1'b1;
	end
en

endmodule : log

2.2 TB

module tb_log();

	reg [31:0] in;
	reg clk, rst_n;

	wire [7:0] out;

	initial begin
		in = 'd4;
		#20
		in = 'd8;
		#20
		in = 'd16;
		#20
		in = 'd32;
		#20
		in = 'd10;
		#20
		in = 'd17;
		#2000
		$finish;
	end


	log dut(
		in,
		out
		);

	initial begin
		$fsdbDumpfile("tb_log.fsdb");
		$fsdbDumpvars(0, tb_log);
		$fsdbDumpon;
	end

endmodule : tb_log

3. 仿真

在这里插入图片描述

4. 小结

通过方案二我们可以发现,在组合逻辑当中for循环的先后是可以有一定的先后顺序的,并不是像generate for一样,直接并行展开,这也许和采用阻塞赋值有一定的关系,如果方案二采用非阻塞赋值,可能for循环不能结束。方案一则需要注意function的使用,至少有一个输入,但是没有输出;不能包含always语句和时间控制语句;可以有返回值。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值