8输入优先编码器

实验要求:编写8输入优先编码器,做出RTL View
代码:

module priority_encoder8(     //定义模块8输入的优先编码器的输入输出端口
	IN		,
	OUT		);
input [7:0] IN;           //定义输入输出信号位宽
output [3:0] OUT;

reg   [3:0] OUT;        //定义了输出信号OUT是4位的寄存器数据
always @(IN)
	begin
		if(IN[7])                     //第一优先
			OUT = 4'b0111;          
		else if(IN[6])                //第二优先
			OUT = 4'b0110;
		else if(IN[5])              //第三优先
			OUT = 4'b0101;
		else if(IN[4])				//第四优先
			OUT = 4'b0100;
		else if(IN[3])              //第五优先
			OUT = 4'b0011;
		else if(IN[2])             //第六优先
			OUT = 4'b0010;
		else if(IN[1])            //第七优先
			OUT = 4'b0001;
		else if(IN[0])           //第八优先
			OUT = 4'b0000;
		else
		    OUT = 4'b1111;       //输出没有结果
	end
endmodule

8输入优先编码器我是根据4输入优先编码器改写的
RTL View:
在这里插入图片描述

  • 3
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值