Verilog-HDL/SystemVerilog/Bluespec SystemVerilog添加自定义模板

安装插件后(安装自行搜索)

修改json文件,我电脑上位于:

"C:\Users(用户)\你的用户名\.vscode\extensions\mshr-h.veriloghdl-1.15.1\snippets\verilog.json"

默认情况包含一些模板,每个模板形式如下:

	"module with parameters": {
		"prefix": ["paramod", "module #"],
		"body": [
			"module ${1:moduleName} #(",
			"\t${2:parameters}",
			") (",
			"\t${3:ports}",
			");",
			"\t$0",
			"endmodule"
		],
		"description": "Insert a module with parameter"
	},

添加模板后保存即可

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值