DDS compiler IP 配置(没写完,仅供参考)

 这些写得好:Xilinx 的FFT IP核使用方法(配置为FFT 、IFFT两种模式)_如何调整fft ip核的定点格式-CSDN博客

Vivado DDS IP核使用和仿真(二、多通道信号发生器)_dds ip多通道-CSDN博客

FPGA数字信号处理基础----Xilinx DDS IP使用_fpga dsp ip使用-CSDN博客

配置选项:
  • Phase Generator and SIN COS LUT: 表示此DDS核使用查找表(LUT)来生成正弦和余弦波形。
系统要求:
  • 系统时钟 (MHz): 设置为 125 MHz,表示DDS将以125 MHz的时钟输入运行。
  • 通道数量: 设置为 1,表示DDS只生成一个通道的输出。
  • 操作模式: 设置为 Standard,这是常规的DDS运行模式。
  • 每通道频率 (Fs): 125 MHz,表示每个通道的DDS运行频率,与系统时钟相同。
  • 参数选择: 选择 系统参数,表示像SFDR(无杂散动态范围)和频率分辨率等参数是基于系统时钟和配置自动设置的。
系统参数:
  • 无杂散动态范围 (SFDR): 设置为 48 dB,SFDR代表生成信号的质量,数值越高表示信号杂散噪声越少,质量越高。
  • 频率分辨率 (Hz): 设置为 1907 Hz,表示DDS能够输出的最小频率变化值,由系统时钟和配置决定。
  • 噪声整形: 设置为 Auto,表示DDS核根据所选配置自动优化噪声整形。

 

2. 第二张图(实现选项页)

相位增量可编程性:
  • 固定: 选择了此项,表示相位增量(即频率)在配置时是固定的,不能动态改变。
  • 可编程和流模式: 这是动态控制相位增量的其他选项,但未选择。
相位偏移可编程性:
  • : 不对生成的波形应用相位偏移。
  • 固定、可编程、流模式: 这是应用固定或动态相位偏移的其他选项,但未选择。
输出:
  • 输出选择: 选择了 正弦和余弦,表示DDS会生成正弦和余弦波形作为输出。
  • 极性: 未选择负正弦或负余弦,表示两个信号都是正极性输出。
  • 振幅模式: 选择 全范围,表示输出振幅使用DDS的全部动态范围。
实现选项:
  • 存储类型: 设置为 Auto,DDS核会自动选择使用的存储类型(如块RAM或分布式RAM)。
  • 优化目标: 选择 Auto,表示核心会根据性能、面积或功耗选择最佳优化方案。
  • DSP48使用: 设置为 最小化,表示限制FPGA中的DSP48切片使用,以优化资源占用。
其他:
  • 是否有相位输出: 未选择,表示不生成相位输出。

综上所述,这个DDS配置设置了一个基于125 MHz系统时钟、生成高质量正弦和余弦波形的核心,频率是固定的,优化目标是最小化DSP48的使用,同时自动选择最佳的存储类型。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值