Verilog中的function

省去函数的格式,主要来看看函数的调用。

先举个例子:

  function[7:0]  getbyte;

  input[15:0]   address;

  begin

  <说明语句>

  getbyte = result_expression; //把结果赋给函数的返回字节

  end

  endmodule 

 函数的返回值:函数的定义蕴含了与函数同名的、函数内部的寄存器。如果在函数的定义中默认返回值的类型或者返回,则这个寄存器是一位的,否则这个寄存器与函数的返回值的类型或范围一致的寄存器。函数的定义把函数的返回值所赋予寄存器的名称初始化与函数同名的寄存器。

函数的调用是把函数作为表达式中的操作符来实现的。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值