校招IC/FPGA系列之编程题合集

校园招聘即将开始,是时候回顾一下FPGA的编程基础。
1. 同步FIFO的设计

module fifo_module
(
	input 			clk,
	input 			rst_n,
	input 			write_req,
	input 	   [7:0]data_in,
	input 			read_req,
	output reg [7:0]data_out,
	output 			fifo_full,
	output 			fifo_empty
);
parameter DEEP = 3'd4;
reg [7:0]ram[DEEP:0];
reg [2:0]count;

always@(posedge clk or negedge rst_n)
if(!rst_n)
begin 
	ram[0]<=8'd0;
	ram[1]<=8'd0;
	ram[2]<=8'd0;
	ram[3]<=8'd0;
	ram[4
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值