一种FIFO的Verilog实现方法

转载自某处~~~忘了,致谢一下。做了一些小修改。模块读写是分开的,配合串口的收发是最合适不过的。经验证的串口收发见下载,普通情况下使用足够。

/*---------------------rx fifo  8*32 -------------------------------- */

 reg [7:0]reg_fifo_out;
 reg rx_reg_fifo_empty,rx_reg_fifo_full;
 reg [4:0]rx_read_ptr,rx_write_ptr,rx_counter;
 reg [7:0]rx_ram[31:0]; //32 * 8bit
 always @ ( posedge clk or negedge rstn )
    if( !rstn )
       begin
           reg_fifo_out <= 8'd0;
           rx_read_ptr  <=1'd0;
           rx_write_ptr <=1'd0;
           rx_counter   <=1'd0;
       end
    else
        case({read,isRXDone})
            2'b00:
                rx_counter <= rx_counter;
            2'b01:
            begin
                rx_ram[rx_write_ptr] <= rData;
                rx_write_ptr <= (rx_write_ptr==5'd31)?0:(rx_write_ptr+1'd1);
                rx_counter <= rx_counter+1'd1;
            end
            2'b10:
            begin
                reg_fifo_out <= rx_ram[rx_read_ptr];
                rx_counter <= rx_counter-1'd1;
                rx_read_ptr<= (rx_read_ptr==5'd31)?0:(rx_read_ptr+1'd1);
            end
            2'b11:
            begin
            if(rx_counter==0)
               reg_fifo_out <= rData;
            else
                begin
                  rx_ram[rx_write_ptr] <= rData;
                  rx_write_ptr <= (rx_write_ptr==5'd31)?0:(rx_write_ptr+1'd1);
                  reg_fifo_out <= rx_ram[rx_read_ptr];
                  rx_read_ptr<= (rx_read_ptr==5'd31)?0:(rx_read_ptr+1'd1);
                end
            end
        endcase
      assign rx_fifo_empty = (rx_counter==1'd0);
      assign rx_fifo_full  = (rx_counter==5'd15);
      assign fifo_out   =  reg_fifo_out;
  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值