BRAM 仿真笔记

mem.v

module mem(
       input clk,
       input en,
       input we,
       input [5:0]addr,
       input [16-1:0]din,
       output reg [16-1:0]dout
);
reg[16-1:0]mem[63:0];
always@(posedge clk)
if(en&we)
    mem[addr]<=din;//读入
 
always@(posedge clk)
if(en)//读请求
   dout<=mem[addr];//读出 (延迟一个时钟输出)
   
endmodule

mem_tb.v

module mem_tb(

    );
    
    reg clk;
    reg rst;
    reg en;
    reg we;
    reg [5:0]addr;
    reg [15:0]din;
    wire [15:0]dout;
    
    
    mem bram_1(
       .clk(clk),
       .en(en),
       .we(we),
       .addr(addr),
       .din(din),
       .dout(dout)
    );
    
initial
begin
clk = 0;
#5
en = 1;
we = 1;
addr = 0;
din = 10;

#10
//en = 0;
addr = 0;
end
    

always #10 clk = ~clk;

    
endmodule

综合结果

仿真结果

 

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值