Vivado中Block Memory Generator IP核的使用V8.4

16 篇文章 27 订阅

参考文档《pg058》

Vivado中的存储相关core generator

Vivado的IP catalog中关于存储单元的IP在Memories&Storage Elements中,

 Memroy Interface Generator没有用过,应该是用于生成DDR2/DDR3/qdr2这些片外存储器接口控制器的:

而Block Memory Generator 是利用fpga片内的嵌入的Blocm RAM资源来自定义自己需要的RAM。

存储类型

  Block RAM可用于生成三种模式的RAM:单口RAM、伪双口RAM(简单单口RAM)和真双口RAM,以及单双口ROM。对于双口类型的存储器,每个端口的操作是相互独立的,并且每个端口中的工作时钟、输出寄存器以及使能引脚(ENABLE Pin)都是可任意选择的。但是对于Simple Dual Port RAM来说,其操作模式确实不可选的,后面在介绍冲突行为(Collision Behavior)时会介绍

三种算法

针对不同的需求,即从资源、功耗、以及RAM18和RAM36的级联角度,提供了三种实现算法。

 

 

  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值