【学习笔记】FPGA基础5——系统任务和系统函数

一、显示函数

  1. $display(“带格式字符串”,参数1,参数2,…);
  • 在文本后会加一个换行
module sdispl;
	interger i;		//i为整型
	reg [3:0] x;	//x为4位
	initial begin	//initial块,只执行一次
	i=21;			
	x=4'he;
	$display("1\t%d\n2\t%h\\",i, x);//输出显示
	end 
endmodule	

在这里插入图片描述

  1. $write(“带格式字符串”,参数1,参数2,…);
  • 在文本后不会加换行
  1. s t r o b e 和 strobe和 strobemonltor
  • $strobe (“带格式字符串”,参数1,参数2,…);
  • $monitor(“带格式字符串”,参数1,参数2,…);

二、停止函数

  1. $finish表示停止仿真
  2. $stop表示暂停仿真

三、系统时间函数

  1. $time返回一个64位整数时间值
  2. $stime返回一个32位整数时间值
  3. $realtime返回一个实数时间值
  4. $timeformat控制时间的显示方式
示例代码
$monitor("%d d=%b,e=%b",$stime,d,e);

四、文件操作

文件句柄=$fopen("文件名")					//打开文件
$fstrobe(文件句柄,"带格式字符串",参数列表)   //strobe到文件,$fdisplay语句格式与它相同
$monitor可以多个进程同时进行
$fclose(文件);						   //关闭文件
$feof(文件);							   //检查是否到文件末尾
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值