verilog 初学常见语法问题小记

 

assign 只能用于net (wire那一挂的)类型的赋值 后接‘=’号 不要用阻塞赋值‘<=’

任意变量 可以在多个always 或者各种块中读 但是只能在唯一块中写 也就是说你要在一块个里面完成对一个变量的全部写操作,说是为了防止冲突,感觉是实现底层限制的那种感觉 

另外  always块里 只能对reg类型这一卦的数据赋值 否则会报错 说是always里只能操作reg类型变量

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

define_mine

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值