【S022】`ifdef 、 define 、 include 、 generate if 、repeat的使用

目录

 编译小技巧

`ifdef使用格式和优先级

仿真TB

仿真结果

generate if

repeat


 编译小技巧

编译do文件加入下面这参数相当于`define SIM_OPEN_NO_EXIST 1 

+define+SIM_OPEN_NO_EXIST

`ifdef使用格式和优先级

下面测试次语句的执行顺序。

结论:代码执行有优先级,只要前面有满足条件的判断,后面的判断不执行(即使后面的条件也满足)。

            `ifdef  SIM_OPEN
                sum = `A +8'd2;
            `elsif SIM_CASE1
                sum = `A +8'd3;
            `elsif SIM_CASE2
                sum = `A +8'd4;
            `else
                sum = `A +8'd5;
            `endif

仿真TB

`timescale 1ns/100ps
`define SIM_OPEN  1 //无等号无分号
`define SIM_CASE1 1  
`define SIM_CASE2 1  
`define A 1 
module tb (  );
    reg [7:0] sum  =8'b0;
    initial  
        begin
            `ifdef  SIM_OPEN
                sum = `A +8'd2;
            `elsif SIM_CASE1
                sum = `A +8'd3;
            `elsif SIM_CASE2
                sum = `A +8'd4;
            `else
                sum = `A +8'd5;
            `endif
            #1;
            $display("sum = %d",sum);// sum = 3

            `ifdef  SIM_OPEN_NO_EXIST
                sum = `A +8'd2;
            `elsif SIM_CASE1
                sum = `A +8'd3;
            `elsif SIM_CASE2
                sum = `A +8'd4;
            `else
                sum = `A +8'd5;
            `endif
            #1;
            $display("sum = %d",sum);// sum = 4
            
            `ifdef  SIM_OPEN_NO_EXIST
                sum = `A +8'd2;
            `elsif SIM_CASE1_NO_EXIST
                sum = `A +8'd3;
            `elsif SIM_CASE2
                sum = `A +8'd4;
            `else
                sum = `A +8'd5;
            `endif
            #1;
            $display("sum = %d",sum);// sum = 5
            
            `ifdef  SIM_OPEN_NO_EXIST
                sum = `A +8'd2;
            `elsif SIM_CASE1_NO_EXIST
                sum = `A +8'd3;
            `elsif SIM_CASE2_NO_EXIST
                sum = `A +8'd4;
            `else
                sum = `A +8'd5;
            `endif
            #1;
            $display("sum = %d",sum);// sum = 6
            //同一路径下
            `include "display.v" //`include diaplay.v print 
        end

endmodule

仿真结果

generate if

module	generate_if(
	input					 t0					,
	input					 t1					,
	input					 t2					,
	output 			        	 d			
);
localparam    S = 6;	            //定义模块所需参数,用于判断产生电路
generate 
	if(S < 7)		
		assign d = t0 | t1 | t2;
	else
		assign d = t0 & t1 & t2;
endgenerate
endmodule

repeat

repeat(循环次数表达式) begin
    语句块;
end

end

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

qq_1615549892

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值