【Verilog-语法】 条件编译 `ifdef/`ifndef

一、前言

在Verilog项目开发过程中某功能是,一部分代码可能有时候用,有时候不用,为了避免全部编译占用资源,可以使用条件编译语句;尤其在大型项目中还可以节约大量的时间。

二、语法

语法书写格式:

(`define FLAG1/2/3)
`ifdef/`ifndef FLAG1
 // Statements
`elsif FLAG2
 // Statements
`elsif FLAG3
 // Statements
`else
 // Statements
`endif

其中,`ifdef/`ifndef和`endif之间的`elsif和`else都是可选的。

对条件编译的理解很好理解,都是字面逻辑。唯一值得探讨的一点是,条件编译的FLAG是否必须由`define语句宏定义,可不可以在代码中自己定义?答案是必须要`define来定义,也即条件编译的使用与`define是紧密相关的。比如下面的例子尝试自定义变量在条件编译中使用,但编译工具并不支持,对比可以发现并不认同自己定义的变量。

使用宏定义的:

`timescale 1ns / 1ps

`define MACRO_FLAG;  //use `define
module prac_condition_compile(
  input     a,
  input     b,
  output    c,
  input     d,
  input     e
    );   
    
  `ifdef MACRO_FLAG
    assign   c = a&b;
  `endif
  
  `ifndef MACRO_FLAG
   assign   c = d & e;
  `endif
     
endmodule

使用自己的定义的:

`timescale 1ns / 1ps

//`define MACRO_FLAG;
module prac_condition_compile(
  input     a,
  input     b,
  output    c,
  input     d,
  input     e
    );   
    
 wire    MACRO_FLAG; //do not use `define 
    
  `ifdef MACRO_FLAG
    assign   c = a&b;
  `endif
  
  `ifndef MACRO_FLAG
   assign   c = d & e;
  `endif
     
endmodule

  • 6
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值