双口RAM及Vivado RAM IP核的使用

目录

1.双口RAM概述

2.Vivado 双口RAM IP核

2.1 Block Memory Generator概述

2.2 真双口RAM的设置

2.2.1 Basic设置

2.2.2 Port设置

3.双口RAM例程

4.仿真

4.后记

5.参考文献

1.双口RAM概述

双口RAM(dual port RAM)在异构系统中应用广泛,通过双口RAM,不同硬件架构的芯片可以实现数据的交互,从而实现通信。例如,一般情况下,ARM与DSP之间的通信,可以利用双口RAM实现,ARM通过EBI总线连接到双口RAM的A口,DSP通过EMIF总线(也可以是uPP总线,取决于速度需求)连接到双口RAM的B口,两者对同一块存储区域进行操作,即可实现两者的数据交互。

但是,因为双口RAM的A口和B口都可以对相同的内存地址进行操作,这就引出了一个问题——假如通信双方在两个端口对同一地址同时读写,就会引发冲突。要解决这个问题,办法有二。一是通信双方在时序上保证不会同时读写同一地址,将ARM和DSP可写地址范围进行分区,无论任何一方写完数据后都通过IO发送中断通知对方,对方进行数据读取(乒乓RAM操作),这样是比较可靠的;另外一个办法就是在fpga里设置写busy信号,实现两端写同步[1]。在FPGA中,构建双口RAM可以通过两种方法,一种是利用distributed RAM构建,另一种是利用Block RAM构建,关于两者的具体区别,可以参考这两篇文章[2][3]。简而言之,Block RAM是是使用FPGA中的整块双口RAM资源,而distributed RAM则是用FPGA中的逻辑资源拼凑形成的。一般的原则是,较大的存储应用,建议用bram;零星的小ram,一般就用dram。

在Vivado中,RAM IP核在Memories & Strorage Elements\RAM & ROMs和RAM & ROMs & BRAM文件夹下,如图所示,下面简要介绍一下Vivado的双口RAM IP核。

                                                                                       (图1.1)

2.Vivado 双口RAM IP核

2.1 Block Memory Generator概述

    点击图1.1的Block Memory Generator项,利用BRAM来构建双口RAM。Block Memory Generator窗口如图2.1所示。图中,第1部分,在IP symbol选项卡,点击"+"号可以展开端口具体信号,如图2.2所示。第2部分,Component Name可以设置IP核的名字。第3部分,Basic选项卡,在Memory Type下拉列表中,可以设置内存的类型,如图2.3所示。Block Memory Gnerator一共可以产生5种不同类型的内存空间,其中block RAM有三种:单口RAM、简化双口RAM和真双口RAM[4]。单口RAM只有一个端口(A端口),可以对A端口进行读写。简化双口RAM有两个端口(A和B端口),但是A端口只能进行写入操作,不能进行读出操作,而B端口则只能进行读出操作,不能进行写入操作。真双口RAM有两个端口(A和B端口),A和B端口都能进行读写操作[5]

                                                                                          (图2.1)

                                                                                         (图2.2)

                                                                                         (图2.3)

2.2 真双口RAM的设置

2.2.1 Basic设置

    在Basic选项卡的Memory type选项中选择真双口RAM,IP Symbol如图2.4所示。ECC Options为默认设置,Write Enable中也选择默认设置,不使能字节写,Algorithm Options选择默认设置。

                                                                                        (图2.4)

2.2.2 Port设置

    点击Port A Options选项卡,对A端口进行设置, 设置Write Width为16(即RAM单元为16位),Write Width为1024(即内存深度为1024,该端口可读写的RAM单元有1024个),Operating Mode(操作模式)一共有三种:Write First,Read First,No Change。在Write First模式中,在一个时钟周期里,写入内存单元的数据被同步输出到输出数据总线上;在Read First模式中,在一个时钟周期里,写入到内存单元的数据是当前输入数据总线上的数据,而输出到输出数据总线上的数据则是上一个时钟周期存储在内存单元中的数据。细节可参考PG058的49到50页4。Enable Port Type设置为Always Enabled,一直使能端口A。其它设置使用默认设置。如图2.5所示。

                                                                                       (图2.5)

    端口B设置为与A一致。在Other Options选项卡中,保留默认设置。Load Init File设置是否用Coe文件对内存区域初始化,这个在初始化ROM的时候会用到,这里不勾选,保持默认。最后,在Summary选项卡会显示消耗的资源。

 

3.双口RAM例程

    例程1,该例程是Altera官方例程[6],采用寄存器构建双口RAM,代码如下:


 
 
  1. module true_dpram_sclk
  2. (
  3. input [7:0] data_a, data_b,
  4. input [5:0] addr_a, addr_b,
  5. input we_a, we_b, clk,
  6. output reg [7:0] q_a, q_b
  7. );
  8. // Declare the RAM variable
  9. reg [ 7: 0] ram[ 63: 0];
  10. // Port A
  11. always @ (posedge clk)
  12. begin
  13. if (we_a)
  14. begin
  15. ram[addr_a] <= data_a;
  16. q_a <= data_a;
  17. end
  18. else
  19. begin
  20. q_a <= ram[addr_a];
  21. end
  22. end
  23. // Port B
  24. always @ (posedge clk)
  25. begin
  26. if (we_b)
  27. begin
  28. ram[addr_b] <= data_b;
  29. q_b <= data_b;
  30. end
  31. else
  32. begin
  33. q_b <= ram[addr_b];
  34. end
  35. end
  36. endmodule

例程2,该例程是Xilinx官方例程[7],采用寄存器构建真双口RAM,代码如下:


 
 
  1. // Dual-Port Block RAM with Two Write Ports
  2. // File: rams_16.v
  3. module v_rams_16 (clka,clkb,ena,enb,wea,web,addra,addrb,dia,dib,doa,dob);
  4. input clka,clkb,ena,enb,wea,web;
  5. input [ 9: 0] addra,addrb;
  6. input [ 15: 0] dia,dib;
  7. output [ 15: 0] doa,dob;
  8. reg[ 15: 0] ram [ 1023: 0];
  9. reg[ 15: 0] doa,dob;
  10. always @(posedge clka) begin if (ena)
  11. begin
  12. if (wea)
  13. ram[addra] <= dia;
  14. doa <= ram[addra];
  15. end
  16. end
  17. always @(posedge clkb) begin if (enb)
  18. begin
  19. if (web)
  20. ram[addrb] <= dib;
  21. dob <= ram[addrb];
  22. end
  23. end
  24. endmodule

例程3,该例程是网友博客中的例程[8],代码如下:


 
 
  1. module TOP(
  2. input USER_CLK
  3. );
  4. `define DLY # 1
  5. reg FPGA_Enable= 0;
  6. reg[ 3: 0] FPGA_Write_Enable= 4'h0;
  7. reg[ 31: 0] FPGA_Address= 0;
  8. reg[ 31: 0] FPGA_Write_Data= 0;
  9. reg[ 31: 0] FPGA_Read_Data_reg= 0;
  10. wire[ 31: 0] FPGA_Read_Data;
  11. reg[ 10: 0] count= 0;
  12. always @ (posedge USER_CLK)
  13. begin
  14. count <= count + 1;
  15. if( count<= 100)
  16. begin
  17. FPGA_Enable <= 0;
  18. FPGA_Write_Enable <= 4'h0;
  19. end
  20. else if(( count <= 105)&&( count > 100))
  21. begin
  22. FPGA_Enable <= 1;
  23. FPGA_Write_Enable <= 4'hf;
  24. FPGA_Address <= FPGA_Address + 4;
  25. FPGA_Write_Data <= FPGA_Write_Data + 1;
  26. end
  27. else if(( count <= 110)&&( count > 105))
  28. begin
  29. FPGA_Enable <= 0;
  30. FPGA_Write_Enable <= 4'h0;
  31. FPGA_Address <= 0;
  32. FPGA_Write_Data <= 0;
  33. end
  34. else if(( count <= 117)&&( count > 110))
  35. begin
  36. FPGA_Enable <= 1;
  37. FPGA_Write_Enable <= 4'h0;
  38. FPGA_Read_Data_reg <= FPGA_Read_Data;
  39. FPGA_Address <= FPGA_Address + 4;
  40. end
  41. else if( count == 118)
  42. begin
  43. FPGA_Enable <= 0;
  44. count <= count;
  45. end
  46. end
  47. BBBB your_instance_name (
  48. .clka( USER_CLK), // input clka
  49. .ena( FPGA_Enable), // input ena
  50. .wea( FPGA_Write_Enable), // input [3 : 0] wea
  51. .addra( FPGA_Address), // input [31 : 0] addra
  52. .dina( FPGA_Write_Data), // input [31 : 0] dina
  53. .douta( FPGA_Read_Data), // output [31 : 0] douta
  54. .clkb(clkb), // input clkb
  55. .enb(enb), // input enb
  56. .web(web), // input [3 : 0] web
  57. .addrb(addrb), // input [31 : 0] addrb
  58. .dinb(dinb), // input [31 : 0] dinb
  59. .doutb(doutb) // output [31 : 0] doutb
  60. );
  61. endmodule

该例程中,在count为101(>100)后开始往地址4到20写入1-5,然后在count为111(>110)的时候读出写入的数据。


 
 
  1. `timescale 1ns / 1ps
  2. //
  3. // Company:
  4. // Engineer:
  5. //
  6. // Create Date: 2017/12/09 22:36:48
  7. // Design Name:
  8. // Module Name: dual_port_ram_demo
  9. // Project Name:
  10. // Target Devices:
  11. // Tool Versions:
  12. // Description:
  13. //
  14. // Dependencies:
  15. //
  16. // Revision:
  17. // Revision 0.01 - File Created
  18. // Additional Comments:
  19. //
  20. //
  21. module dual_port_ram_demo(
  22. input USER_CLK
  23. );
  24. `define DLY # 1
  25. //Port A declaration
  26. reg FPGA_Enable= 0;
  27. reg FPGA_Write_Enable= 0;
  28. reg[ 31: 0] FPGA_Address= 0;
  29. reg[ 31: 0] FPGA_Write_Data= 0;
  30. reg[ 31: 0] FPGA_Read_Data_reg= 0;
  31. wire[ 31: 0] FPGA_Read_Data;
  32. //Port B declaration
  33. reg enb= 0;
  34. reg[ 3: 0] web= 4'h0;
  35. reg[ 31: 0] addrb= 0;
  36. reg[ 31: 0] dinb= 0;
  37. reg[ 31: 0] doutb_reg= 0;
  38. wire[ 31: 0] doutb= 0;
  39. reg[ 10: 0] count= 0;
  40. always @ (posedge USER_CLK)
  41. begin
  42. count <= count + 1;
  43. if( count<= 100)
  44. begin
  45. FPGA_Enable <= 1;
  46. FPGA_Write_Enable <= 0;
  47. end
  48. else if(( count <= 105)&&( count > 100))
  49. begin
  50. FPGA_Enable <= 1;
  51. FPGA_Write_Enable <= 1;
  52. FPGA_Address <= FPGA_Address + 4;
  53. FPGA_Write_Data <= FPGA_Write_Data + 1;
  54. end
  55. else if(( count <= 110)&&( count > 105))
  56. begin
  57. FPGA_Enable <= 1;
  58. FPGA_Write_Enable <= 0;
  59. FPGA_Address <= 0;
  60. FPGA_Write_Data <= 0;
  61. end
  62. else if(( count <= 117)&&( count > 110))
  63. begin
  64. FPGA_Enable <= 1;
  65. FPGA_Write_Enable <= 1;
  66. FPGA_Read_Data_reg <= FPGA_Read_Data;
  67. FPGA_Address <= FPGA_Address + 4;
  68. end
  69. else if( count == 118)
  70. begin
  71. FPGA_Enable <= 0;
  72. count <= count;
  73. end
  74. end
  75. dpRAM u1 (
  76. .clka( USER_CLK), // input clka
  77. .ena( FPGA_Enable), // input ena
  78. .wea( FPGA_Write_Enable), // input [3 : 0] wea
  79. .addra( FPGA_Address), // input [31 : 0] addra
  80. .dina( FPGA_Write_Data), // input [31 : 0] dina
  81. .douta( FPGA_Read_Data), // output [31 : 0] douta
  82. .clkb( USER_CLK), // input clkb
  83. .enb(enb), // input enb
  84. .web(web), // input [3 : 0] web
  85. .addrb(addrb), // input [31 : 0] addrb
  86. .dinb(dinb), // input [31 : 0] dinb
  87. .doutb(doutb) // output [31 : 0] doutb
  88. );
  89. endmodule
  90. testbench文件如下:
  91. `timescale 1ns / 1ps
  92. //
  93. // Company:
  94. // Engineer:
  95. //
  96. // Create Date: 2017/12/09 22:47:26
  97. // Design Name:
  98. // Module Name: simu
  99. // Project Name:
  100. // Target Devices:
  101. // Tool Versions:
  102. // Description:
  103. //
  104. // Dependencies:
  105. //
  106. // Revision:
  107. // Revision 0.01 - File Created
  108. // Additional Comments:
  109. //
  110. //
  111. module simu(
  112. );
  113. //testbench 时钟信号
  114. reg clk = 0;
  115. always # 10 clk <= ~clk;
  116. //调用dual_port_ram_demo模块
  117. dual_port_ram_demo demo1(clk);
  118. endmodule

 

4.仿真

    下面利用Modelsim和Vivado进行联合仿真,关于vivado如何与modelsim进行联合仿真可以参考这篇文章:

vivado与modelsim的关联以及器件库编译

有一点要注意的是,我用的是Vivado2017.1版本,这个版本只支持Modelsim10.5及以上的版本,如果是低版本的Modelsim,在用Vivado2017.1编译Modelsim的仿真库时,会出错。Modelsim10.5版本可以在这里下载:

modelsim 10.5 适用vivado 2017.1

    用Modelsim仿真时,会在sim_1/behav文件夹下产生3个.do文件,分别是xx_compile.do,xx_simulate.do,xx _wave.do文件。在设计的verilog文件修改之后,如果在Modelsim中直接restart,仿真的其实还是没有修改前的文件,要使修改的.v文件在Modelsim中生效,可以在Modelsim的命令窗口输入do xx_compile.do文件,对仿真的库文件以及设计文件(.v文件)重新编译,然后在输入do xx_simulate.do文件,才能仿真修改后的文件。输入do xx_compile.do命令对设计文件重新编译的时候,Modelsim会强制退出,这时由最后一句force quit命令引起的,只要把它删掉就行了。如果要保存波形文件,可以save format,另存为xx_wave.do文件。

    参考上面双口RAM的例程3进行功能仿真,RAM IP使用Write First模式,设计文件代码如下:

仿真结果如下:

                                                                                      (图4.1)

    程序在1时刻准备好地址和要写入RAM的数据,在2时刻写入RAM中,在3时刻端口才会输出2时刻写入RAM的数据,注意与PG058的图稍有不同。

 

                                                       

                                                                                         (图4.2)

4.后记

    关于BRAM,推荐一个youtube视频,里面讲的非常清晰易懂。

What is a Block RAM in an FPGA?

 

5.参考文献

[1] 基于FPGA的双口RAM设计方法.

[2] 关于Xilinx芯片中Block RAM和Distributed RAM 的区别.

[3] Block RAM 和Distributed RAM.

[4] Block Memory Generator v8.2 LogiCORE IP Product Guide (PG058).P42-45.P49-50.

[5] FPGA开发之RAM IP的使用

[6] Verilog HDL:具有单时钟的真双端口RAM.

[7] Vivado Design Suite User Guide: Synthesis (UG901).P96.

[8] FPGA 内部双口块RAM 读写实现.

 

  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值