用法
$signed
用于将无符号的二进制数据,作为有符号的数据进行处理。
方便tb文件仿真调试。
比如
将二进制8’b11111111,解读为-1进行后续运算。
module signed_example();
reg [7:0] a, b;
wire [7:0] sum;
assign sum = $signed(a) + $signed(b);
initial begin
a = 8'b11111111; // 255 in unsigned, -1 in signed
b = 8'b00000001; // 1 in both unsigned and signed
#1;
$display("Sum = %d", sum); // 期望值为0
end
endmodule