门控时钟

我想记录下自己的想法。

博客上别人写的有的有错误,有毛刺,而且不精简。

以下:

 module latch_clockgating
 (
		input	 		clk,
		input		 	enable,
		output	wire 	clk_out
 );
		reg			enable_q;
		
	always@(negedge clk)
		begin
			enable_q	<=	enable;
		end

	assign	clk_out		=	enable_q&clk;

endmodule
`timescale 1ns/1ns
module latch_clockgating_tb;
reg		clk;
wire	clk_out;
reg		enable;

initial
	begin
		enable	=	1'b0;
		clk		=	1'b0;
		#25 	enable	=	1'b1;
		#30		enable	=	1'b0;
	end

always	#5 clk = ~clk;

 latch_clockgating
 A(
		.clk(clk ),
		.enable(enable),
		.clk_out(clk_out)
 );
 endmodule

波形如下:

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值