2020-05-20

vivado编译太慢,加快编译速度:1增加编译线程,2增量编译功能

(1)增加编译线程数。
vivado默认编译线程数2,在Tcl输入:get_param general.maxThreads查看。在Tcl输入:set_param general.maxThreads 4修改编译线程数。也可以修改为8线程,如果电脑支持的话。(如何查看自己电脑的线程数:cmd命令下输入wmic回车,在输入cpu get *,可以找到NumberOFcores为几核,下一个为线程数)
(2)使用增量编译功能。
如果改了少量代码,或则修改ILA(采样信号)使用增量编译功能。使用方法:一个已经编译好的程序,修改少量代码后,将route.dcp文件复制到桌面(roure.dcp文件默认在xxx.run/imple_1/),然后在下图的位置右击(Design Run框的impl_1上右击),点Set Incremental Compile,在use chekpoint选项卡里面选择刚才复制到外面的xxx_routed.dcp,然后就可以点编译了在这里插入图片描述

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值