FPGA初学 模块引用(带参数) 位扩展 LED 分频器

注意程序中Verilog位扩展的写法
{led_num{1’b0}} 相当于把 1’b0复制 led_num 次,数据总长为led_num位。
{led_num{2’b01}} 数据总长为 2*led_num 位

二级文件

`timescale 1 ns / 1 ps

module LED_test (
	clk,
	rst_n,
	led
);

parameter led_num = 4;

input clk, rst_n;
output [led_num - 1:0] led;

reg [led_num - 1:0] led = {led_num{1'b0}};
reg [31:0] time_cnt = 32'b0;

always@(posedge clk or negedge rst_n)
begin
	if(~rst_n)
	begin
		led <= {led_num{1'b0}};
		time_cnt <= 32'd0;
	end
	else
	begin
		if(time_cnt >= 32'd0 && time_cnt < 32'd24999999)
		begin
			time_cnt <= time_cnt + 32'd1;
			led <= led;
		end
		else if(time_cnt == 32'd24999999)
		begin
			if(led == {led_num{1'b0}})
				led <= {{led_num - 1{1'b0}},1'b1};
			else if(led == {1'b1,{led_num - 1{1'b0}}})
				led <= {{led_num - 1{1'b0}},1'b1};
			else
				led <= led << 1;
			time_cnt <= 32'd0;
		end
		else
		begin
			led <= {led_num{1'b0}};
			time_cnt <= 32'd0;		
		end
	end
end

endmodule

一级文件

`timescale 1 ns / 1 ps

module LED(
	clk,
	rst_n,
	led
);

parameter led_num = 4;

input clk, rst_n;
output [led_num - 1:0] led;

LED_test #(
	.led_num(led_num)          //注意参数传递的写法
)
LED_test_inst(
	.clk(clk),
	.rst_n(rst_n),
	.led(led)
);

endmodule
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值