Verilog 变量位扩展

  My32bits = `bz;  <=>  My32bits = 32'bz...z
  My32bits = `bx;  <=>  My32bits = 32'bx...x
  My32bits = `b0;  <=>  My32bits = 32'b0...0
  My32bits = `b1;  <=>  My32bits = 32'b0...01
  
  My32bits = 1`bz;  <=>  My32bits = 32'b0...0z
  My32bits = 1`bx;  <=>  My32bits = 32'b0...0x
  My32bits = 1`b0;  <=>  My32bits = 32'b0...00
  My32bits = 1`b1;  <=>  My32bits = 32'b0...01                            

       由上面的式子可以看到,verilog语法中,在赋值过程中,如果没有定义位宽,“x”,“z”,“0”则会向前扩展。如第一行所示,“z”向前扩展到32位的“z”,第二三行“x”与“0”也是如此,但第四行赋值“1”则不会扩展,会在前面填充“0”。

       本文引用自:https://blog.csdn.net/llxxyy507/article/details/82782584

         由5--8行所示,给32位的变量赋值:My32bits= 1'bz;则前面的都只会填充零,不会扩展。

       不建议用上面的赋值方式,良好的coding style 建议赋值:My32bits = 32`b0000_0000_0000_0000_0000_0000_0000_0001;但作为一名数字前端设计者,应该清楚文章开头赋值与位扩展表示的意思。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值